CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl dds

搜索资源列表

  1. dds_9760_OK

    0下载:
  2. DDS信号源程序,用VHDL编的。里面可用拨码开关选择相应的功能:FM,ASK,PSK,AM(这一点实现的不是很好),但其它的很好。频率可达25M-DDS signal source, for the use of VHDL. DIP switch which can be used to select the appropriate function: FM, ASK, PSK, AM (This is not to achieve good), but other well. Frequen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5096040
    • 提供者:李逵
  1. vhdl_dds

    0下载:
  2. 利用VHDL语言实现的简易DDS,便于调节正弦波的频率及相位-VHDL language using a simple DDS, easy to adjust the frequency and phase sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:334578
    • 提供者:dzt
  1. DDSyuanma

    0下载:
  2. DDS波形发生器 (Synplify pro 编译通过)--输出频率 Fout = Fclk*2^M/2^N--分辨率 Fclk/2^N--最大输出频率 Fout = Fclk*50 (理论值,抽样定理)-DDS Waveform Generator (Synplify pro compiler through)- the output frequency Fout = Fclk* 2 ^ M/2 ^ N- Resolution Fclk/2 ^ N- the maximum output fr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:304816
    • 提供者:lishaozhe
  1. DDS

    0下载:
  2. DDS频率合成器(使用VHDL硬件描述语言,通过Altera QuartusII开发)-DDS frequency synthesizer (using VHDL hardware descr iption language, through the development of Altera QuartusII)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:233900
    • 提供者:刘磊
  1. cpld_32

    0下载:
  2. 用VHDL语言写的一个32位DDS的程序。可以产生正弦波-VHDL language used to write a 32-bit DDS procedures. Can produce sine wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:540368
    • 提供者:mt
  1. dds_v3_test3

    1下载:
  2. DDS控制器在FPGA上的实现,使用Quartus II8.1开发环境,使用Altera 原理图设计方法,10位宽度,配合dac9-DDS controller in the FPGA on the realization of Quartus II8.1 use development environment, the use of Altera schematic design, 10-bit width, with dac900
  3. 所属分类:Other systems

    • 发布日期:2015-01-18
    • 文件大小:14858390
    • 提供者:张文
  1. dds9851

    0下载:
  2. 本文主要介绍的是采用直接数字频率合成的短波信号发生器,它主要以微电脑控制部分、直接数字频率合成(DDS)部分、数字锁相环频率合成部分、背光液晶显示部分、功率放大部分等组成。该软件系统采用菜单形式进行操作,操作方便明了,增加了很多功能。它通过启动DDS后,把内存缓存区的数据送到DDS后输出相应的频率,并把数据转换为BCD码,送到液晶显示器进行显示。该系统输出稳定度、精度极高,适用于当代的尖端的通信系统和精密的高精度仪器。-This paper describes the use of direct
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:467373
    • 提供者:xiang
  1. ddfs

    0下载:
  2. vhdl编的dds函数发生器,完成sin(x)曲线的生成-vhdl function generator dds compiled to complete the sin (x) curve is generated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:90778
    • 提供者:王晓虎
  1. VHDLbasicExampleDEVELOPEMENTsoursE

    1下载:
  2. 这里收录的是《VHDL基础及经典实例开发》一书中12个大型实例的源程序。为方便读者使用,介绍如下: Chapter3:schematic和vhdl文件夹,分别是数字钟设计的原理图文件和VHDL程序; Chapter4:multiplier文件夹,串并乘法器设计程序(提示:先编译程序包); Chapter5:sci文件夹,串行通信接口设计程序; Chapter6:watchdog文件夹,看门狗设计程序; Chapter7:taxi文件夹,出租车计价器设计程序; Chapte
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:138782
    • 提供者:wuyu
  1. DDS

    0下载:
  2. 本代码可以用于产生正余弦信号波形,利用FPGA内部的ROM放置一个正余弦采样点的数据表格,通过循环取址的方法,实现波形连续输出。-This code can be used to generate positive cosine signal waveforms, using FPGA' s internal ROM to place a sampling point is the cosine of the data tables, the circulation method of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:484558
    • 提供者:蔡野锋
  1. nco

    0下载:
  2. 基于DSP builder搭建的DDS模块,可以用在数字下变频中的NCO等-Based on DSP builder to build the DDS module can be used in digital down-conversion of the NCO, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7570
    • 提供者:郑程
  1. qam_64

    1下载:
  2. 64QAM调制,采用硬件语言verilog实现,其中调用了DDS的IP核-64QAM modulation, using language verilog hardware implementation, which is called the IP core of the DDS
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2015-01-16
    • 文件大小:1055
    • 提供者:zhujing
  1. 8psk

    0下载:
  2. 利用DDS原理设计8psk的原代码,已通过调试-8psk principle design using DDS source code, which has passed the commissioning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1807705
    • 提供者:luyuan
  1. ddsmodem

    0下载:
  2. modem ask, fsk, psk program susing DDS
  3. 所属分类:Modem program

    • 发布日期:2017-03-28
    • 文件大小:12740
    • 提供者:venkata
  1. Study_on_Key_Technologies_of_n4-DQPSK_Modulation_a

    0下载:
  2. 本文首先研究可4一DQPsK调制解调系统中调制部分的基本原理和各个模块的设计方案,重点研究成形滤波器和直接数字频率合成器 (DireetoigitalFrequeneySynihesis,简称DDS),并针对各个关键模块算法进行matlab设计仿真,展示仿真结果。其次,研究调制解调系统解调部分的基本原理和各个模块的设计方案,重点研究差分解调,数字下变频和位同步算法,也针对其各个关键模块进行算法的Matlab设计仿真。然后用Matlab对整个系统进行理论仿真,得出结论。在此基础 上,采用超高速
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5458230
    • 提供者:cai
  1. dds-sin-generator

    0下载:
  2. 正铉波发生器 dds 一共有8个vhdl文件组成。其中dds为头文件-dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6704
    • 提供者:李磊
  1. frequency_generator

    0下载:
  2. DDS in our camera design
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:5822
    • 提供者:Alexander
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
  1. DDS

    0下载:
  2. vhdl编写的dds信号发生器 这是比较古老的写法,但很简单-vhdl prepared dds signal generator which is a more ancient writing, but it is simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:230544
    • 提供者:deyi
  1. ad9958

    0下载:
  2. AD9958是一款功能强大的DDS芯片,是AD公司新上市的产品,能够产生标准信号已及线性调频,非线性调频等信号。-AD9958 is a new chip with much more greater function.It is very suitable in signal processing. It can generate kinds of signal format such as standord signal and lfm signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3392160
    • 提供者:xujingwei
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 17 »
搜珍网 www.dssz.com